Details

CMOS Integrated Lab-on-a-chip System for Personalized Biomedical Diagnosis


CMOS Integrated Lab-on-a-chip System for Personalized Biomedical Diagnosis


IEEE Press 1. Aufl.

von: Hao Yu, Mei Yan, Xiwei Huang

111,99 €

Verlag: Wiley
Format: EPUB
Veröffentl.: 04.04.2018
ISBN/EAN: 9781119218340
Sprache: englisch
Anzahl Seiten: 288

DRM-geschütztes eBook, Sie benötigen z.B. Adobe Digital Editions und eine Adobe ID zum Lesen.

Beschreibungen

<p><b>A thorough examination of lab-on-a-chip circuit-level operations to improve system performance</b></p> <p>A rapidly aging population demands rapid, cost-effective, flexible, personalized diagnostics. Existing systems tend to fall short in one or more capacities, making the development of alternatives a priority. <i>CMOS Integrated Lab-on-a-Chip System for Personalized Biomedical Diagnosis</i> provides insight toward the solution, with a comprehensive, multidisciplinary reference to the next wave of personalized medicine technology.</p> <p>A standard complementary metal oxide semiconductor (CMOS) fabrication technology allows mass-production of large-array, miniaturized CMOS-integrated sensors from multi-modal domains with smart on-chip processing capability. This book provides an in-depth examination of the design and mechanics considerations that make this technology a promising platform for microfluidics, micro-electro-mechanical systems, electronics, and electromagnetics.</p> <p>From CMOS fundamentals to end-user applications, all aspects of CMOS sensors are covered, with frequent diagrams and illustrations that clarify complex structures and processes. Detailed yet concise, and designed to help students and engineers develop smaller, cheaper, smarter lab-on-a-chip systems, this invaluable reference:</p> <ul> <li>Provides clarity and insight on the design of lab-on-a-chip personalized biomedical sensors and systems</li> <li>Features concise analyses of the integration of microfluidics and micro-electro-mechanical systems</li> <li>Highlights the use of compressive sensing, super-resolution, and machine learning through the use of smart SoC processing</li> <li>Discusses recent advances in complementary metal oxide semiconductor-integrated lab-on-a-chip systems</li> <li>Includes guidance on DNA sequencing and cell counting applications using dual-mode chemical/optical and energy harvesting sensors</li> </ul> <p>The conventional reliance on the microscope, flow cytometry, and DNA sequencing leaves diagnosticians tied to bulky, expensive equipment with a central problem of scale. Lab-on-a-chip technology eliminates these constraints while improving accuracy and flexibility, ushering in a new era of medicine. This book is an essential reference for students, researchers, and engineers working in diagnostic circuitry and microsystems. </p>
<p>Preface x</p> <p><b>1 Introduction 1</b></p> <p>1.1 Personalized Biomedical Diagnosis 1</p> <p>1.1.1 Personalized Diagnosis 1</p> <p>1.1.2 Conventional Biomedical Diagnostic Instruments 3</p> <p>1.1.2.1 Optical Microscope 3</p> <p>1.1.2.2 Flow Cytometer 4</p> <p>1.1.2.3 DNA Sequencer 5</p> <p>1.2 CMOS Sensor?-based Lab?-on?-a?-Chip for System Miniaturization 7</p> <p>1.2.1 CMOS Sensor?-based Lab?-on?-a?-Chip 7</p> <p>1.2.2 CMOS Sensor 8</p> <p>1.2.2.1 CMOS Process Fundamentals 8</p> <p>1.2.2.2 CMOS Sensor Technology 10</p> <p>1.2.2.3 Multimodal CMOS Sensor 13</p> <p>1.2.3 Microfluidics 14</p> <p>1.2.3.1 Microfluidic Fundamentals 14</p> <p>1.2.3.2 Microfluidics Fabrication 16</p> <p>1.3 Objectives and Organization of this Book 20</p> <p>1.3.1 Objectives 20</p> <p>1.3.2 Organization 20</p> <p>References 21</p> <p><b>2 CMOS Sensor Design 25</b></p> <p>2.1 Top Architecture 25</p> <p>2.2 Noise Overview 25</p> <p>2.2.1 Thermal Noise 26</p> <p>2.2.2 Flicker Noise 27</p> <p>2.2.3 Shot Noise 28</p> <p>2.2.4 MOSFET Noise Model 29</p> <p>2.3 Pixel Readout Circuit 29</p> <p>2.3.1 Source Follower 30</p> <p>2.3.2 Sub?-threshold Gm Integrator 33</p> <p>2.3.3 CTIA 35</p> <p>2.4 Column Amplifier 38</p> <p>2.5 Column ADC 39</p> <p>2.5.1 Single?-Slope ADC 39</p> <p>2.5.2 Sigma?-Delta ADC 43</p> <p>2.6 Correlated Sampling 49</p> <p>2.6.1 Correlated Double Sampling 49</p> <p>2.6.2 Correlated Multiple Sampling 51</p> <p>2.7 Timing Control 52</p> <p>2.7.1 Row Timing Control 52</p> <p>2.7.2 Column Timing Control 55</p> <p>2.8 LVDS Interface 57</p> <p>References 59</p> <p><b>3 CMOS Impedance Sensor 60</b></p> <p>3.1 Introduction 60</p> <p>3.2 CMOS Impedance Pixel 61</p> <p>3.3 Readout Circuit 63</p> <p>3.4 A 96 × 96 Electronic Impedance Sensing System 65</p> <p>3.4.1 Top Architecture 65</p> <p>3.4.2 System Implementation 67</p> <p>3.4.2.1 System Setup 67</p> <p>3.4.2.2 Sample Preparation 68</p> <p>3.4.3 Results 68</p> <p>3.4.3.1 Data Fitting for Single Cell Impedance Measurement 69</p> <p>3.4.3.2 Cell and Electrode Impedance Analysis 71</p> <p>3.4.3.3 EIS for Single?-Cell Impedance Enumeration 71</p> <p>References 74</p> <p><b>4 CMOS Terahertz Sensor 76</b></p> <p>4.1 Introduction 76</p> <p>4.2 CMOS THz Pixel 76</p> <p>4.2.1 Differential TL?-SRR Resonator Design 76</p> <p>4.2.1.1 Stacked SRR Layout 76</p> <p>4.2.1.2 Comparison with Single?-ended TL?-SRR Resonator 80</p> <p>4.2.1.3 Comparison with Standing?-Wave Resonator 82</p> <p>4.2.2 Differential TL?-CSRR Resonator Design 83</p> <p>4.3 Readout Circuit 84</p> <p>4.3.1 Super?-regenerative Amplification 84</p> <p>4.3.1.1 Equivalent Circuit of SRA 84</p> <p>4.3.1.2 Frequency Response of SRA 86</p> <p>4.3.1.3 Sensitivity of SRA 86</p> <p>4.3.2 Super?-regenerative Receivers 87</p> <p>4.3.2.1 Quench?-controlled Oscillation 87</p> <p>4.3.2.2 SRX Design by TL?-CSRR 89</p> <p>4.3.2.3 SRX Design by TL?-SRR 91</p> <p>4.4 A 135 GHz Imager 94</p> <p>4.4.1 135 GHz DTL?-SRR?-based Receiver 94</p> <p>4.4.2 System Implementation 95</p> <p>4.4.3 Results 95</p> <p>4.5 Plasmonic Sensor for Circulating Tumor Cell Detection 98</p> <p>4.5.1 Introduction of CTC Detection 98</p> <p>4.5.2 SRR?-based Oscillator for CTC Detection 99</p> <p>4.5.3 Sensitivity of SRR?-based Oscillator 101</p> <p>References 103</p> <p><b>5 CMOS Ultrasound Sensor 106</b></p> <p>5.1 Introduction 106</p> <p>5.2 CMUT Pixel 107</p> <p>5.3 Readout Circuit 109</p> <p>5.4 A 320 × 320 CMUT?-based Ultrasound Imaging System 110</p> <p>5.4.1 Top Architecture 110</p> <p>5.4.2 System Implementation 111</p> <p>5.4.2.1 Process Selection 111</p> <p>5.4.2.2 High Voltage Pulser 112</p> <p>5.4.2.3 Low?-Noise Preamplifier and High Voltage Switch 115</p> <p>5.4.3 Results 116</p> <p>5.4.3.1 Simulation Results 116</p> <p>5.4.3.2 Two?-channel AFE IC Measurement Results 117</p> <p>5.4.3.3 Acoustic Transmission Testing with AFE IC and CMUT 121</p> <p>5.4.3.4 Acoustic Pulse?-echo Testing with AFE IC and CMUT 122</p> <p>References 124</p> <p><b>6 CMOS 3?-D?-Integrated MEMS Sensor 126</b></p> <p>6.1 Introduction 126</p> <p>6.2 MEMS Sensor 127</p> <p>6.3 Readout Circuit 127</p> <p>6.4 A 3?-D TSV?-less Accelerometer 129</p> <p>6.4.1 CMOS?-on?-MEMS Stacking 129</p> <p>6.4.2 Bonding Reliability 132</p> <p>6.4.2.1 Al–Au Thermo?-compression Shear Strength 132</p> <p>6.4.2.2 Al–Au Thermo?-compression Hermeticity 134</p> <p>6.4.3 Results 135</p> <p>6.4.3.1 Standalone Validation of the Readout Circuit 135</p> <p>6.4.3.2 Functionality Testing of CMOS?-on?-MEMS Chip 136</p> <p>6.4.3.3 Reliability Testing of CMOS?-on?-MEMS Chip 138</p> <p>References 141</p> <p><b>7 CMOS Image Sensor 142</b></p> <p>7.1 Introduction 142</p> <p>7.2 CMOS Image Pixel 145</p> <p>7.2.1 Structure 145</p> <p>7.2.1.1 FSI 4 T Pixel 145</p> <p>7.2.1.2 Back Side Illumination Pixel 147</p> <p>7.2.1.3 Stack Pixel 148</p> <p>7.2.2 Noise and Model 150</p> <p>7.2.2.1 Photon Shot Noise 151</p> <p>7.2.2.2 Reset Noise 152</p> <p>7.2.2.3 Thermal Noise 152</p> <p>7.2.2.4 Flicker Noise 154</p> <p>7.2.2.5 Fixed Pattern Noise 154</p> <p>7.3 Readout Circuit 155</p> <p>7.3.1 Global Serial Readout 156</p> <p>7.3.2 Correlated Double Sampling 156</p> <p>7.4 A 3.2 Mega CMOS Image Sensor 158</p> <p>7.4.1 4?-way Shared Pixel Unit 158</p> <p>7.4.2 Top Architecture 159</p> <p>7.4.3 System Implementation 162</p> <p>7.4.4 Results 164</p> <p>7.4.4.1 System Characterization 164</p> <p>7.4.4.2 Digital CDS for FPN Reduction 164</p> <p>7.4.4.3 Blood Cell Imaging Experiments 165</p> <p>References 167</p> <p><b>8 CMOS Dual?-mode pH?-Image Sensor 169</b></p> <p>8.1 Introduction 169</p> <p>8.2 CMOS Dual?-mode pH?-Image Pixel 170</p> <p>8.3 Readout Circuit 172</p> <p>8.3.1 CDS for Optical Sensing 174</p> <p>8.3.2 CDS for Chemical Sensing 174</p> <p>8.4 A 64 × 64 Dual?-mode pH?-Image Sensor 175</p> <p>8.4.1 Top Architecture 175</p> <p>8.4.2 System Implementation 177</p> <p>8.4.3 Results 177</p> <p>References 184</p> <p><b>9 CMOS Dual?-mode Energy?-harvesting?-image Sensor 186</b></p> <p>9.1 Introduction 186</p> <p>9.2 CMOS EHI Pixel 187</p> <p>9.3 Readout Circuit 191</p> <p>9.4 A 96 × 96 EHI Sensing System 195</p> <p>9.4.1 Top Architecture 195</p> <p>9.4.2 System Implementation 197</p> <p>9.4.3 Results 203</p> <p>References 211</p> <p><b>10 DNA Sequencing 213</b></p> <p>10.1 Introduction 213</p> <p>10.2 CMOS ISFET?-based Sequencing 213</p> <p>10.2.1 Overview 213</p> <p>10.2.2 ISFET?-based Sequencing Procedure 215</p> <p>10.3 CMOS THz?-based Genotyping 220</p> <p>10.3.1 Overview 220</p> <p>10.3.2 THz?-based Genotyping Procedure 220</p> <p>10.4 Beyond CMOS Nanopore Sequencing 221</p> <p>10.4.1 Overview 221</p> <p>10.4.2 Nanopore?-based Sequencing Procedure 223</p> <p>10.5 Summary 227</p> <p>References 230</p> <p><b>11 Cell Counting 231</b></p> <p>11.1 Introduction 231</p> <p>11.2 Optofluidic Imaging System 231</p> <p>11.2.1 Contact Imaging 231</p> <p>11.2.2 Optofluidic Imaging System Model 232</p> <p>11.2.2.1 Resolution Model 232</p> <p>11.2.2.2 Dynamic Range Model 233</p> <p>11.2.2.3 Implication to SR Processing 234</p> <p>11.3 Super?-resolution Image Processing 234</p> <p>11.3.1 Multi?-frame SR Processing 235</p> <p>11.3.2 Single?-frame SR Processing 236</p> <p>11.4 Machine?-learning?-based Single?-frame Super?-resolution 237</p> <p>11.4.1 ELMSR 238</p> <p>11.4.2 CNNSR 242</p> <p>11.5 Microfluidic Cytometer for Cell Counting 245</p> <p>11.5.1 Microfluidic Cytometer System 245</p> <p>11.5.1.1 System Overview 245</p> <p>11.5.1.2 Microfluidic Channel Fabrication 246</p> <p>11.5.1.3 Microbead and Cell Sample Preparation 246</p> <p>11.5.1.4 Microfluidic Cytometer Design 247</p> <p>11.5.1.5 Cell Detection 248</p> <p>11.5.1.6 Cell Recognition 249</p> <p>11.5.1.7 Cell Counting 250</p> <p>11.5.2 Results 250</p> <p>11.5.2.1 Counting Performance Characterization 250</p> <p>11.5.2.2 Off-Line SR Training 251</p> <p>11.5.2.3 On?-line SR Testing 253</p> <p>11.5.2.4 On?-line Cell Recognition and Counting 254</p> <p>References 255</p> <p><b>12 Conclusion 258</b></p> <p>12.1 Summaries 258</p> <p>12.2 Future Works 260</p> <p>Index 262</p>
<p> <strong>Hao Yu,</strong> Southern University of Science and Technology, China, is an assistant professor and area director of the VIRTUS/VALENS Centre of Excellence. <p> <strong>Mei Yan,</strong> Consultant, developed lab-on-a-chip biomedical sensor circuits and systems for personalized biomedical diagnosis as a Research Fellow at Nanyang Technological University in Singapore. <p> <strong>Xiwei Huang,</strong> Hangzhou Dianzi University, China, is an assistant professor at the School of Electronics and Information.
<p> <strong>A thorough examination of lab-on-a-chip circuit-level operations to improve system performance</strong> <p> A rapidly aging population demands rapid, cost-effective, flexible, personalized diagnostics. Existing systems tend to fall short in one or more capacities, making the development of alternatives a priority. <em>CMOS Integrated Lab-on-a-Chip System for Personalized Biomedical Diagnosis</em> provides insight toward the solution, with a comprehensive, multidisciplinary reference to the next wave of personalized medicine technology. <p> A standard CMOS fabrication technology allows mass-production of large-array, miniaturized CMOS-integrated sensors from multi-modal domains with smart on-chip processing capability. This book provides an in-depth examination of the design and mechanics considerations that make this technology a promising platform for microfluidics, micro-electro-mechanical systems, electronics, and electromagnetics. <p> From CMOS fundamentals to end-user applications, all aspects of CMOS sensors are covered, with frequent diagrams and illustrations that clarify complex structures and processes. Detailed yet concise, and designed to help students and engineers develop smaller, cheaper, smarter lab-on-a-chip systems, this invaluable reference: <ul> <li>Provides clarity and insight on the design of lab-on-a-chip personalized biomedical sensors and systems</li> <li>Features concise analyses of the integration of microfluidics and micro-electro-mechanical systems</li> <li>Highlights the use of compressive sensing, super-resolution, and machine learning through the use of smart SoC processing</li> <li>Discusses recent advances in complementary metal oxide semiconductor-integrated lab-on-a-chip systems</li> <li>Includes guidance on DNA sequencing and cell counting applications using dual-mode chemical/optical and energy harvesting sensors</li> </ul> <br> <p> The conventional reliance on the microscope, flow cytometry, and DNA sequencing leaves diagnosticians tied to bulky, expensive equipment with a central problem of scale. Lab-on-a-chip technology eliminates these constraints while improving accuracy and flexibility, ushering in a new era of medicine. This book is an essential reference for students, researchers, and engineers working in diagnostic circuitry and microsystems.

Diese Produkte könnten Sie auch interessieren:

Pulsed Power
Pulsed Power
von: Gennady A. Mesyats
PDF ebook
341,33 €
High-Frequency Oscillator Design for Integrated Transceivers
High-Frequency Oscillator Design for Integrated Transceivers
von: J. van der Tang, Dieter Kasperkovitz, Arthur H.M. van Roermund
PDF ebook
149,79 €
MEMS Vibratory Gyroscopes
MEMS Vibratory Gyroscopes
von: Cenk Acar, Andrei Shkel
PDF ebook
181,89 €